diff --git a/include/wlr/interfaces/wlr_keyboard.h b/include/wlr/interfaces/wlr_keyboard.h index 6fbb3f68..4958e2b8 100644 --- a/include/wlr/interfaces/wlr_keyboard.h +++ b/include/wlr/interfaces/wlr_keyboard.h @@ -1,5 +1,5 @@ -#ifndef WLR_INTERFACE_WLR_KEYBOARD_H -#define WLR_INTERFACE_WLR_KEYBOARD_H +#ifndef WLR_INTERFACES_WLR_KEYBOARD_H +#define WLR_INTERFACES_WLR_KEYBOARD_H #include #include diff --git a/include/wlr/interfaces/wlr_output.h b/include/wlr/interfaces/wlr_output.h index 15a3a619..7ed19ed9 100644 --- a/include/wlr/interfaces/wlr_output.h +++ b/include/wlr/interfaces/wlr_output.h @@ -1,5 +1,5 @@ -#ifndef WLR_INTERFACE_WLR_OUTPUT_H -#define WLR_INTERFACE_WLR_OUTPUT_H +#ifndef WLR_INTERFACES_WLR_OUTPUT_H +#define WLR_INTERFACES_WLR_OUTPUT_H #include #include